造价通
更新时间:2025.03.02
8.19电梯控制器程序设计与仿真 (2)

格式:pdf

大小:659KB

页数: 9页

电梯控制器 VHDL程序与仿真。 -- --文件名: dianti.vhd。 --功能: 6 层楼的电梯控制系统。 --最后修改日期: 2004.4.12。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为 2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic

表8.19起重设备电气装置安装单元工程质量验收评定表

格式:pdf

大小:19KB

页数: 2页

工程 起重设备电气装置安装单元工程质量验收评定表 单位工程名称 单元工程量 分部工程名称 安装单位 单元工程名称、部位 评定日期 项 目 检 验 结 果 外 部电气 设 备安装 主控项目 一般项目 分 段供电 滑 接线、安全式滑 接线安装 主控项目 配线安装 一般项目 电 气设备 保 护装置安装 主控项目 一般项目 变 频调速 装 置安装 主控项目 一般项目 电气试验 主控项目 施工单位自评意见 安装质量检验主控项目 项,全部符合 SL638-2013 质量要求; 一般项目 项,与 SL638-2013 有微小出入的 项,所占比率 为 % 。质量要求操作试验或试运行符合 SL638-2013 的要求,操作试 验或试运行 出现故障。 单元工程安装质量等级评定为: 。 (签字,加盖公章) 年 月 日 监理单位意见 安装质量检验主控项目 项,全部符合 SL638-2013 质量要求; 一

最新知识

电气问题8.19-1
点击加载更多>>
专题概述
电气问题8.19-1相关专题

分类检索: